SOGO論壇
  登入   註冊   找回密碼
查看: 3377|回覆: 1
列印 上一主題 下一主題

[問題求助] Verilog - module問題 [複製連結]

Rank: 1

狀態︰ 離線
跳轉到指定樓層
1
發表於 2016-7-27 00:39:10 |只看該作者 |倒序瀏覽
各位好,部分程式碼如下

module downcounter(clock1,reset,out);
input clock1,reset,in;
output reg [2:0]out;

        always @(posedge clock1) begin
        if(reset)
                out=3'b111;
        else
                out=out-3;
                end
endmodule

module testdown;
reg clock,reset;
wire [2:0]out;
downcounter test(.clock1(clock), .reset(reset), .out(out));

第一區塊module 中的"out" , 為宣告為reg

第二區塊的module中的"out" , 為宣告成wire形式

上述的程式碼,為何模組間的out可以互相連結呢?(如藍色文字)
喜歡嗎?分享這篇文章給親朋好友︰
               感謝作者     

Rank: 1

狀態︰ 離線
2
發表於 2016-12-21 17:05:21 |只看該作者
多看點書吧!!
這很基本~幾乎每本書都有!
請注意︰利用多帳號發表自問自答的業配文置入性行銷廣告者,將直接禁訪或刪除帳號及全部文章!
您需要登錄後才可以回覆 登入 | 註冊


本論壇為非營利自由討論平台,所有個人言論不代表本站立場。文章內容如有涉及侵權,請通知管理人員,將立即刪除相關文章資料。侵權申訴或移除要求:abuse@oursogo.com

GMT+8, 2024-4-23 22:38

© 2004-2024 SOGO論壇 OURSOGO.COM
回頂部