SOGO論壇
  登入   註冊   找回密碼
查看: 2931|回覆: 0
列印 上一主題 下一主題

[問題求助] verilog FSM設計 [複製連結]

Rank: 1

狀態︰ 離線
跳轉到指定樓層
1
發表於 2016-12-1 17:57:14 |只看該作者 |倒序瀏覽
我想要利用FSM寫一個電路,但不知道怎麼寫!
想請各位幫幫忙~
Module name           my_controller
Signal                     Direction        Description
clk                            input           System clock
rst_n                         input          reset signal, active low
mc_din[27:0]             input          input raw data
mc_op[3:0]               input           input operation        (instruction)
mc_din_rdy               input           input data ready
ns_ack                      input          The next stage acknowledgement        signal
mc_dout[27:0]          output        output data
mc_dout_rdy             output        output data ready to next stage
mc_surplus [1:0]       output        data transfer surplus
mc_full                     output        current stage full signal to preceding stage

功能需求:
FSM將根據mc_op [3:0]處理輸入數據mc_din [27:0]。
有關輸入數據的四種可能的操作:移位,補碼,補碼和忽略。
接下來將重複或更改的輸出數據由mc_op [1:0]控制。
FSM將產生這些控制信號以控制數據存儲觸發器。
您將需要一個階段管道來存儲處理的輸入數據。
另一方面,如果數據存儲已滿,您的FSM還會向您的前一級產生完整信號以保持輸出數據,並生成到下一級的就緒信號(mc_dout_rdy),以通知它輸出數據已準備就緒,直到下一級確認(ns_ack )。

operation function table
mc_op[3:2](decide the result )                     mc_op[1:0]        (control repeat)
00: input data shift 1 bit right                      00: output the “input raw data” 1 time
01: do 1’s complement                                01: output the “input raw data” 1 time and “result data” 1 time
10: do 2’s complement                                10: output the “input raw data” 1 time and “result data” 2 times
11: ignore the input data , (no output)
mc_op[1:0] function is also ignoredclk.         11: output the ” input raw data” 1 time and “result data” 3 times
喜歡嗎?分享這篇文章給親朋好友︰
               感謝作者     

請注意︰利用多帳號發表自問自答的業配文置入性行銷廣告者,將直接禁訪或刪除帳號及全部文章!
您需要登錄後才可以回覆 登入 | 註冊


本論壇為非營利自由討論平台,所有個人言論不代表本站立場。文章內容如有涉及侵權,請通知管理人員,將立即刪除相關文章資料。侵權申訴或移除要求:abuse@oursogo.com

GMT+8, 2024-4-24 13:33

© 2004-2024 SOGO論壇 OURSOGO.COM
回頂部