SOGO論壇
  登入   註冊   找回密碼
查看: 1241|回覆: 1
列印 上一主題 下一主題

[程式設計] VHDL程式設計 [複製連結]

Rank: 4

狀態︰ 離線
跳轉到指定樓層
1
發表於 2012-3-7 20:06:31 |只看該作者 |倒序瀏覽
請問設計一個簡單的紅綠燈,
例如:綠燈亮25秒、黃燈亮5秒、紅燈亮30秒兩組交替,大概要怎麼寫?
能不能給我一個方向。
喜歡嗎?分享這篇文章給親朋好友︰
               感謝作者     

Rank: 5Rank: 5

數位軟體勳章

狀態︰ 離線
2
發表於 2012-3-12 18:18:42 |只看該作者
因為我不懂VHDL,若是Verilog還稍微了解,我在網路上很容易找到用VHDL控制紅綠燈.可以先試著自己先搜尋資料

http://www.cs.thu.edu.tw/files/99_project/99_liaw_01.pdf
失敗只有一種:那就是半途而廢
請注意︰利用多帳號發表自問自答的業配文置入性行銷廣告者,將直接禁訪或刪除帳號及全部文章!
您需要登錄後才可以回覆 登入 | 註冊


本論壇為非營利自由討論平台,所有個人言論不代表本站立場。文章內容如有涉及侵權,請通知管理人員,將立即刪除相關文章資料。侵權申訴或移除要求:abuse@oursogo.com

GMT+8, 2024-5-25 14:28

© 2004-2024 SOGO論壇 OURSOGO.COM
回頂部